A1. Next Generation Lithography, EB Lithography and Nanotechnology
Symposium Chair: Masayuki ENDO (E Litho Research)
A2. Nanobiotechnology
Symposium Chairs: Takanori ICHIKI (The University of Tokyo), Kensuke OSADA (National Institutes for Quantum Science and Technology)
A02-01
Presentation title: Photo-responsive cell anchoring surface for single-cell phenotype analysis (Invited)
Author(s) and affiliation(s): Satoshi Yamaguchi, Osaka University, Japan
Abstract: Advances in genetic analysis technologies have led to increasing attention on the heterogeneity of individual cells within cell populations in recent years. As a result, techniques for analyzing the phenotype of cells at the single-cell level are becoming increasingly important. We have previously developed light-responsive cell anchoring surfaces. In this presentation, I will introduce our research on constructing a single-cell array using light-activatable cell ancho surfaces, and acquiring time-series image data at the single-cell level to study the phenotypes of cells.
A02-02
Presentation title: Bio Roll-Up: Self-Assembly of Hydrogel Photoresists (Invited)
Author(s) and affiliation(s): Shaheen Hasan 1, Chase Brisbois 2, Kelly Carufe 3, Abigail Johnson 2, Julia Garrison 2, Lauren Sfakis 2, Victoria Brunner 2, McKenzie Albrecht 4, Aishwarya Panneerselvam 2, Yubing Xie 2, and Robert Brainard 2, 1 Rensselaer Polytechnic Institute, 2 University at Albany, 3 Yale University, and 4 SUNY Polytechnic, USA
Abstract: Researchers have explored a variety of stimuli to manipulate the differentiation of stem cells, including changing chemical components, temperature shifts, and hydrogel elasticity, and in particular, substrate curvature to regulate cell shape. Here we describe our early efforts to create a self-assembly platform which can evaluate how changes in shape in terms of curvature can affect the differentiation of stem cells. Our ultimate objective is to create a two-layer stacks of hydrogel photoresists, that can be patterned into rectangles (~2 mm x 3 mm), upon which cells can be seeded, grow and proliferate. Then, at a time of our choosing, we can initiate self-assembly without harming the cells, creating tubes of living cells. Here, we describe multiple stages of advancing this technology exploring: composition of hydrogel, composition of self-assembly media, and several approaches for controlling the timing of self-assembly including “speed bumps” and redox-controlled disulfide linkages. Our earliest example of self-assembly only occurred in pH 3 HCl, but it is not suitable for cell culture. Through optimization of the polymer structure of hydrogel photoresists, we were able to demonstrate self-assembly that occurs in 1X phosphate buffer solution which is at a neutral pH and is isotonic with cells.
A02-03
Presentation title: Photomodifiable azopolymer nanotopography for applications in cell biology
Author(s) and affiliation(s): John T. Fourkas, Mona Abdelrahman, Wolfgang Losert, Jerry Shen, Nikos Liaros and Jeffrey Taylor, Univ. of Maryland, USA
Abstract: Nanotopgraphy has a profound influence on cellular behaviors via its interactions with the excitable system of the acton cytoskeleton. I will discuss how azopolymer nanotopgraphies that are patterned via soft lithography can serve as photomodifiable substrates for the in situ control of individual and collective cell behavior. I will present novel photopatterning strategies that can invoke a wide range of new behaviors,
A02-04
Presentation title: Elastoplastic analysis of deformation of poly(L-lactic acid) microneedle
Author(s) and affiliation(s): Yukihiro Kanda 1,2, Hiroaki Takehara 1,2 and Takanori Ichiki 1,2, 1 The Univ. of Tokyo and 2 iCONM, Japan
Abstract: Polymer microneedles have attracted attention as minimally invasive medical devices. To reduce the pain during insertion, needles should be as thin and small as possible. However, the mechanical strength of polymer materials is relatively low and limits the needle shape. To obtain design principles of polymer microneedle geometries, we performed elastoplastic analysis. The von Mises stress distribution of microneedles made of the poly(L-lactic) acid with different shapes was calculated using the finite element method to simulate the deformation.
A02-05
Presentation title: Optimal radiation dose to induce the abscopal effect through the combination of carbon-ion radiotherapy and immune checkpoint therapy (Invited)
Author(s) and affiliation(s): Liqiu Ma 1,2, Lin Xie 1, Kensuke Osada 1, Yukari Yoshida 2, Akihisa Takahashi 2 and Takashi Shimokawa 1, 1 Institute for Quantum Medical Science, National Institutes for Quantum Science and Technology (QST), 2 Gunma University Heavy Ion Medical Center, Japan
Abstract: Although carbon-ion radiotherapy (CIRT) has shown good outcomes, metastasis control remains a crucial issue. This study evaluated the abscopal effect of combining CIRT with immune checkpoint therapy (ICT) at different radiation doses. Tumor-bearing mice were treated with 3 Gy or 10 Gy of CIRT, followed by ICT. High-dose CIRT combined with anti-CTLA4 antibody showed excellent local control but no abscopal effect. In contrast, 3 Gy CIRT with anti-CTLA4 antibody reduced the volume of distant tumors by 40%, suggesting an optimal dose for inducing the abscopal effect.
A02-06
Presentation title: Lipid Nanodiscs Formed by Lipoprotein-Mimetic Polymers and Their Applications in Drug Delivery (Invited)
Author(s) and affiliation(s): Kazuma Yasuhara, Nara Institute of Science and Technology, Japan
Abstract: Lipid nanodiscs are the smallest lipid bilayer assemblies formed in aqueous solution. In this study, we designed amphiphilic polymethacrylate derivatives for lipid nanodisc formation. The optimal polymer, selected through library screening, formed discoidal lipid membranes with diameters of several tens of nanometers. We demonstrated that the polymer-based nanodiscs can be used for analyzing membrane-binding amyloidogenic peptides and as nanocarriers for molecular delivery into intact cells.
A02-07
Presentation title: Nano-Sized Contrast Agent Based on a Novel Self-Folding Macromolecular Architecture for MRI-Based Cancer Diagnosis (Invited)
Author(s) and affiliation(s): Shan Gao 1, Yutaka Miura 2, Akira Sumiyoshi 1, Nobuhiro Nishiyama 2, Ichio Aoki 1 and Kensuke Osada 1, 1 National Institutes for Quantum Science and Technology and 2 Institute of Science Tokyo, Japan
Abstract: In this study, a nano-sized contrast agent was developed based on a novel self-folding macromolecular drug carrier (SMDC) architecture with a size of 5–10 nm for MRI-based cancer diagnosis. The SMDC forms via the intramolecular self-folding of a designed random copolymer in water. Gadolinium chelates were incorporated into the SMDC to serve as a contrast agent, and its improved relaxivity and enhanced tumor accumulation were elucidated. The feasibility of this nano-sized contrast agent was demonstrated by the contrast enhancement effect in MRI for cancer.
A02-08
Presentation title: Accumulation of nanoruler polymer-based probe in the inflammaging model mice for the MRI diagnosis of chronic inflammation.
Author(s) and affiliation(s): Nanami Maehara 1, Kae Sato 2, Mitsuru Naito 3, Kanjiro Miyata 3, Akiko Takahashi 4 and Kensuke Osada 1, 1 QST, Japan, 2 Japan Women’s Univ. and 3 The Univ. of Tokyo and 4 Japanese Foundation for Cancer Res., Japan
Abstract: Detecting signs of diseases as early as possible in noninvasive way is a key for earlier diagnosis. Mild chronic inflammation occurs, which eventually leads to various age-related diseases. A symptom is an increased permeability in blood vessels. For its detection by MRI, we developed a 3 nm probe from a nanoruler polymer. The particle labeled with fluorescence accumulated liver and kidneys in the inflammaging model mice as confirmed by an in vivo imaging system and a histological analysis, demonstrating a feasibility of MRI detection.
A02-09
Presentation title: Evaluation of the effectiveness of exosome heterogeneous fractionation analysis using a combination of FFE and NTA
Author(s) and affiliation(s): Shusuke Sato 1 and Takanori Ichiki 1 2, 1 iCONM and 2 Univ. of Tokyo, Japan
Abstract: These advancements are important for analyzing the heterogeneity of extracellular vesicles (EVs), including exosomes and microvesicles. Our study demonstrates effective EV fractionation based on electrophoretic mobility differences, confirmed using dental pulp stem cell-derived EVs. We have also automated the FFE system and developed nanoparticle tracking analysis (NTA) software for real-time observation and precise data acquisition. Additionally, we have implemented injection molding techniques for the mass production of the FFE device.
A02-10
Presentation title: Comparative Study of Piezoelectric Properties in Poly-L-Lactic Acid (PLLA) and Polyvinylidene Fluoride (PVDF) Nanofibers
Author(s) and affiliation(s): Qi Kang, Hiroaki Takehara and Takanori Ichiki, The Univ. of Tokyo, Japan
Abstract: Piezoelectric polymers such as polyvinylidene difluoride (PVDF) and poly-L-lactic acid (PLLA) have gained great attention for their applications in flexible biocompatible sensors due to their flexibility, lightweight, and good processability. The dipole orientation or crystal structure is believed to affect the piezoelectric responses of piezoelectric polymers. In this study, we studied the control of fiber alignment by electrospinning and investigated its effect on the piezoelectricity of PLLA and PVDF with varying concentrations.
A3. Directed Self Assembly (DSA)
- Self-Assembly Materials and Processes (DSA, BCP, SAM, ASD, Infiltration, Nanostructured Materials, Advanced Devices using Self Assembly, etc.) -
Symposium Chairs: Seiji NAGAHARA (ASML Japan) , Teruaki HAYAKAWA (Institute of Science Tokyo), and Takehiro SESHIMO (Tokyo Ohka Kogyo Co. Ltd.)
A03-01
Presentation title: IR-AFM metrology on latent images in DSA and EUVL photoresist (Keynote)
Author(s) and affiliation(s): Diederik Maas 1, Maarten van Es 1, Komal Pandey 1, Adam (Chung Bin) Chuang 1, Rodolf Herfst 1, Takehiro Seshimo 2, Shinsuke Maekawa 3, Ryota Uehara 3, and Teruaki Hayakawa 3, 1 Netherlands Organisation for Applied Scientific Research – TNO, the Netherlands, 2 Tokyo Ohka Kogyo Co. Ltd., and 3 Institute of Science Tokyo, Japan
Abstract: Resist stochastics ultimately limit patterning, a result of interplay of resist composition, exposure, bake and development. Metrologies like OCD and CD-SEM only measure uniformity after development, offering limited process control. But each step modifies molecular bonds, leaving IR (5-20 µm) fingerprints in activated chemical structures. When combining IR & AFM, nearfield effects resolve alterations at the nm scale. We show how IR-AFM offers chemical selectivity and lateral resolution to resolve modifications in latent DSA and EUVL images, even before PEB and development.
A03-02
Presentation title: Strategic Development of High-Chi Materials for Directed Self-Assembly
Author(s) and affiliation(s): Shota Iino, Takehiro Seshimo, Ken Miyagi, Takahiro Dazai, and Kazufumi Sato, Tokyo Ohka Kogyo Co. Ltd., Japan
Abstract: DSA (Directed Self-Assembly) is an existing lithography technology, and it is also considered a potential patterning solution. PS-b-PMMA is a representative DSA material, but the presence of high-chi materials is essential to support miniaturization. We aim to develop high-chi materials that are comparable to PS-b-PMMA in terms of process margins. In this paper, we discuss our DSA activities to date and our strategy for high-chi materials that could become the next generation of DSA materials.
A03-03
Presentation title: Development of PS-PMMA Block Copolymers Incorporating Poly(n-butyl methacrylate) for Low Temperature Thermal Annealing toward Perpendicular Microdomain Alignment
Author(s) and affiliation(s): Hsi-Chih Wang 1,Takehiro Seshimo 2, Takahiro Dazai 2, Kazufumi Sato 2, Kan Hatakeyama-Sato 1, Yuta Nabae 1 and Teruaki Hayakawa 1, 1 Inst. of Sci. Tokyo, 2 Tokyo Ohka Kogyo Co. Ltd., Japan
Abstract: This study develops higher-χ PS-PMMA derivatives incorporating poly(n-butyl methacrylate) (PBMA) to lower Tg and enable self-assembly at reduced annealing temperature. Differential scanning calorimetry confirms PBMA (Tg ≈ 25 °C) decreases the Tg. Trifluoroethanethiol modification induces perpendicular lamellar microdomains at 160 °C, 40 °C lower than the higher-χ PS-PMMA derivatives without PBMA, highlighting its potential for the directed self-assembly.
A03-04
Presentation title: Self-assembly of Carbohydrate Block Copolymers: From Glyconanoparticles to thin films to photonic crystals (Invited)
Author(s) and affiliation(s): Redouane Borsali, Polynat Carnot Institute, France
Abstract: The self-assembly of carbohydrate block copolymer systems at the nanoscale level via the bottom-up approach, has allowed the conception of novel nanostructured biomaterials. We will present recent results on the self-assemblies of carbohydrate-based block copolymer leading to nanoparticles presenting different shapes (spherical, cubic, …), highly nanostructured thin films for nanobioelectronic applications and more recently brush-like glycopolymers exhibiting photonic crystals behavior leading to colored materials.
A03-05
Presentation title: The effect of side-chain modification via hydrogen bonding on the microphase-separated structure of PS-b-P4VP-b-PMMA
Author(s) and affiliation(s): Ryota Uehara 1, Shinsuke Maekawa 1, Takehiro Seshimo 2, Ryutaro Sugawara 2, Takahiro Dazai 2, Kazufumi Sato 2, Kan Hatakeyama-Sato 1, Yuta Nabae 1 and Teruaki Hayakawa 1, 1 Inst. of Sci. Tokyo and 2 Tokyo Ohka Kogyo Co. Ltd., Japan
Abstract: PS-b-P4VP-b-PMMA was synthesized via living anionic polymerization, and the side chain were modified by coordinating various carboxylic acids to P4VP. Small-angle X-ray scattering (SAXS) measurements revealed the unmodified sample exhibited a disordered state. However, upon coordination with carboxylic acids, the sample formed a lamellar structure with d-spacing of 20.2 nm. Moreover, the d-spacing varied depending on the type of carboxylic acid.
A03-06
Presentation title: Preparation of metal-containing BCP thin film
Author(s) and affiliation(s): Desheng Zhan, Zhenyu Yang, Xiaofei Qian, Guangya Wu and Hai Deng, Fudan Univ., China
Abstract: Three approaches to obtain metal-containing BCP thin films are demonstrated. The first one is raft polymerization of metal-containing monomers followed by spin-coating onto substrate resulting in nanopatterned BCP thin film. The second one is blending the BCP with different metallocene or other soluble metal salt in organic solvents, which leads to the selectively coordinating with one block followed by spin-coating on substrates. The third one is immersing the annealed BCP thin film in metal ion solutions, forming metal-containing thin film.
A03-07
Presentation title: Synthesis of polymeric photoacid generator with sub-10nm patterning capability
Author(s) and affiliation(s): Tao Liu, Zhenyu Yang, Xiaofei Qian and Hai Deng, Fudan Univ., China
Abstract: In this study, we managed to incorporat diaryl iodonium salts into flourinated block copolymers (BCPs) by sequenced reversible addition-fragmentation chain transfer (RAFT) polymerization. The resulted block copolymer composed of fluorinated block and ionic blocks forming sub 7 nm nano domains, which functioned as PAG. Upon exposure to 254 nm ultraviolet light or electron beams, desired litho patterns formed after either positive or negative development processes. The minimum line width achieved via electron beam lithography (EBL) was 60 nm.
A03-08
Presentation title: Solvent Annealing of Fluorine-Containing Block Copolymers to Control Orientation
Author(s) and affiliation(s): Hongyi Tang, Xuemiao Li, Xiaofei Qian and Hai Deng, Fudan Univ., China
Abstract: Various fluorine-containing, high-χ BCPs were synthesized by reversible addition−fragmentation chain-transfer (RAFT) polymerization. The resulting smallest domain spacing of these BCPs is sub-10nm in a lamellar morphology, but the perpendicular orientation of lamellar microdomains in BCP films is still a problem. Here, we report the solvent vapor annealing process that enables BCP to achieve rapid perpendicular orientation. By adjusting the vapor composition and annealing time, it is possible to achieve rapid vertical orientation of fluorine-containing, high-χ BCP.
A03-09
Presentation title: Molecular assembly and disassembly of AIE-active triangular chromophores
Author(s) and affiliation(s): Pyae Thu 1, Hiroki Nakanishi 2 and Mina Han 1,2, 1 Kongju National Univ., Korea and 2 Tottori Univ., Japan
Abstract: Three-arm azobenzene moieties were successfully attached to one phenyl ring via esterification (3BuAzES). More than 75% of trans→cis conversion was observed upon UV light irradiation in various solvents. The light-induced responses of fluorescent aggregates were difficult to confirm due to their fast disassembly in mixed solutions, and the fluorescence intensity decreased with the storage time, indicating AIE characteristics. Moreover, the solid-state sample was susceptible to different wavelengths of light, which would be useful for further patterning processes.
A03-10
Presentation title: Interactions of AIE-active silole derivatives with linear and trigonal azo chromophores
Author(s) and affiliation(s): Mina Han 1, Pyae Thu 1, Min Kyoung Kim 2 and Young Tae Park 2, 1 Kongju National Univ., Korea, and 2 Keimyung Univ., Korea
Abstract: The five-membered ring heterocyclic siloles have unique low-lying lowest unoccupied molecular orbital (LUMO) related to the interaction of sigma* orbitals on silicon moiety and pi* orbital of butadiene, which encouraged their potential applications in optoelectronics. Here, we investigated how the self-assembly of light-responsive linear and triangular azo chromophores affects the emission property of 5,5-diphenyl-5H-dibenzo[b,d]silole.
A4. Computational / Analytical Approach for Lithography Processes
Symposium Chairs: Kenji YOSHIMOTO (Kanazawa University), and Sosuke OSAWA (JSR)
A04-01
Presentation title: Incorporating advanced scanner models in semiconductor manufacturing (Invited)
Author(s) and affiliation(s): Wim Tel, Rob Faessen, Philipp Strack, Pieter Smorenberg, Jaap Karssenberg, Hakki Ergun Cekli, Seiji Nagahara, ASML holding Netherlands, the Netherlands
Abstract: Semiconductor device manufacturing seems to be on a collision course between ever more aggressive processing and tightening of specifications. This puts ultimate pressure on correctability. In order to maximally exploit the correction capability of the lithography tool a new scanner control paradigm is proposed. This includes a more holistic view on lithography which maximizes the correctability and co-optimize key performance parameters as well as the use of highly accurate scanner simulation models
A04-02
Presentation title: Formulation of Developer Solutions Using Integrated Computational Approaches (Invited)
Author(s) and affiliation(s): Yuqing Jin1, Takehiro Masuda1, Yuko Tsutsui Ito1, Takahiro Kozawa1, Takashi Hasebe2, Kazuo Sakamoto2, Makoto Muramatsu2, 1 SANKEN, Osaka University, Japan, 2 Tokyo Electron Kyusyu Limited, Japan
Abstract: Novel photoresist and developers are being developed to further shrink the feature size. This study evaluated various developers to improve pattern quality, examining the resulting line-and-space patterns via the Hough transform and evaluating SEM images. Quartz crystal microbalance simulations, including frequency and impedance changes, further illuminated how developer chemistry influences lithographic performance. This work established a method to investigate key insights into how developer chemistry influences lithography performance.
A04-03
Presentation title: Molecular dynamics study of early stages of development process (Invited)
Author(s) and affiliation(s): Masaaki Yasuda, Ryuki Tanaka, Kousei Tada, and Hiroto Wakamatsu, Osaka Metropolitan University, Japan
Abstract: The early stage of the development process in lithography was analyzed by molecular dynamics simulation. The penetration of developer molecules into the resist, the swelling of the resist, and the removal of the resist molecules were analyzed. We also investigated the differences in molecular behavior depending on the type of resist and developer.
A04-04
Presentation title: Process and material design for NIL, CMP, and resist synthesis, assisted by Machine learning
Author(s) and affiliation(s): Yoshihiko Hirai, Masaru Sasago, Jun Sekiguchi, Takeyasu Saito, Masaaki Yasuda, Osaka Metropolitan Univ., Japan
Abstract: We will introduce our approach for designing new process and materials assisted by machine learning with limited learning data for nanoimprint, CMP, and resist synthesis.
A04-05
Presentation title: Neural Network Molecular Dynamics Simulations on Synthesis Process of MoS2 Thin Films from Molybdenum Ditiocarbamate Molecules (Invited)
Author(s) and affiliation(s): Momoji Kubo, Tohoku University, Japan
Abstract: Two-dimensional MoS2 thin film has gained much attention as not only semiconductor materials but also super-low friction materials. However, its ability is significantly influenced by the quality of the MoS2 thin film. Therefore, the synthesis process of the MoS2 thin film should be clarified and optimized on atomic scale. In the present study, we applied our in-house neural network molecular dynamics simulation code “Laich+” to investigating the synthesis process of two-dimensional MoS2 thin films from molybdenum ditiocarbamate molecules.
A04-06
Presentation title: Modeling of interactive junction point in directed self-assembly
Author(s) and affiliation(s): Kenji Yoshimoto, Kanazawa Univ., Japan
Abstract: In recent years, new approach of introducing an interactive junction point into diblock copolymer (dBCP) has attracted attention as a method for further reducing the pattern size and pitch generated from directed self-assembly (DSA). However, role of the interactive junction points has not been systematically elucidated. In this study, we demonstrate a modeling of dBCP with the interactive junction point to gain insights into its relationship with the periodic nanopatterns.
A5. EUV Lithography
Symposium Chairs: Takeo WATANABE (University of Hyogo), Hiroto KUDO (Kansai University), Yoshio KAWAI (Shin-Etsu Chemical), Taku HIRAYAMA (HOYA), Shinji YAMAKAWA (University of Hyogo), Sosuke OSAWA (JSR), and Ethan Choong Bong (CB) Lee (Samsung SDI)
A05-01
Presentation title: Current Challenges in Photoresist (Invited)
Author(s) and affiliation(s): Mark Neisser 1,2, 1 Tsinghua University, 2 International Institute of Tsinghua University, Shanghai
Abstract: The current challenges of photoresist relate to stochastics, imaging dose, process windows, and achieving adequate etch resistance. Stochastics not only forces higher dose to print as feature sizes shrink but produces defects that are hard to measure and find. Defects can be such that they are only seen after etch. Metal based positive tone resist is desirable, but not easily accomplished. The sources of these issues and some approaches to possible solutions are discussed along with some relevant simulation and experimental work.
A05-02
Presentation title: Illuminating the demands high NA EUV will place on next generation photoresists and photomasks (Invited)
Author(s) and affiliation(s): Patrick Naulleau, EUV Tech Inc., USA
Abstract: While there has been tremendous recent progress in deployment of high NA EUV scanners, it is generally understood that the practical viability of these tools being able to achieve full resolution capabilities in production is constrained by improvements in both photoresists and photomasks. Key to continued progress in these areas is a fundamental understanding and relevant characterization and metrology capabilities. In this presentation, we review progress in the development and deployment of lab scale tools capable of addressing challenges at both the resist and mask levels.
A05-03
Presentation title: Double-Deprotected Acids: Polymeric Esters and Acid Amplifiers (Invited)
Author(s) and affiliation(s): Seth Kruger 1, Brian Cardineau 2, William Earley, Kenji Hosoi 3, Koichi Miyauchi 3, Robert L. Brainard 4, 1 NYCREATES, USA, 2 Inpria, USA, 3 Central Glass, Japan, 4 University at Albany, USA
Abstract: We describe two strategies for improving the capabilities of chemically amplified resists based on the use of double-deprotected blocking groups on sulfonic acids and carboxylic acids. Although these molecules share a similar blocking-group, we customize these compounds to take advantage of two different decomposition mechanisms, autocatalysis and higher order reactions. Both mechanisms have the potential to produce patterns with improved resolution and LER. Autocatalysis is achieved by incorporation of acid amplifiers (AAs) into the photoresist formulation and has been shown previously to improve the lithographic performance of photoresists. Here, we present new acid amplifiers that produce highly-fluorinated, strong sulfonic acids that are 100,000x more stable than previously reported compounds. Higher order reactions are achieved by utilizing novel blocking groups that require two acid-catalyzed steps to be removed. When both steps occur with comparable reaction rates, the overall reaction should be “higher order” (£ 1.85). We call these resist systems Double-Deprotected Chemically AMplified Photoresists (DD-CAMP). We present the synthesis and lithographic evaluation of multiple DD-CAMP photoresists.
A05-04
Presentation title: Double Amplification Resists from Acid-Catalyzed Chain-Unzipping of Polyphthalaldehyde-Based Polymers (Invited)
Author(s) and affiliation(s): Rachel Snyder 1, Shintaro Yamada 1, Ming Qi Li 1, Kyung Hee Oh 2, Jae Hyun Kim 2, Madan Biradar 3, Gokhan Sagdic 3, Christopher K. Ober 3, 1 DuPont Electronics & Industrial, 2 SK hynix Inc., Korea, 3 Cornell University, USA
Abstract: Next-generation “double amplification resists” (DARs) use acid catalysis to initiate spontaneous depolymerization and offer a promising strategy for high resolution patterning and fast throughput in EUV lithography. We developed a series of DARs using polyphthalaldehyde derivatives and compared their performance to chemically amplified resists (CARs). In this paper, we will discuss the advantages of DARs, focusing on the resist mechanism and insights from KrF studies. We also describe how polymer design impacts resist performance in EUV lithography.
A05-05
Presentation title: Patterning materials in the era of high NA EUV Lithography (Invited)
Author(s) and affiliation(s): Danilo De Simone, IMEC, Belgium
Abstract: In the last years, a patterning roadmap and a large ecosystem was established at imec to enable the device dimensional scaling by using the high NA EUVL technology and tackle its challenges. The high NA EUVL Lab was open in June 2024, and it started delivering to imec its first wafers, showing its potential by printing sub-12nm features. In this work the progress on the patterning activities is illustrated with focus on the lithographic processes and materials in the era of high NA EUV lithography.
A05-06
Presentation title: EUV-FEL as a future light source for advanced lithography (Invited)
Author(s) and affiliation(s): Hiroshi Kawata, Yosuke Honda, Norio Nakamura, Ryukou Kato, Hiroshi Sakai, Kimichika Tsuchiya, Yoshinori Tanimoto, Miho Shimada, Masahiro Yamamoto, Takanori Tanikawa, Olga Tanaka, Takashi Obina, Shinichiro Michizono, High Energy Accelerator Research Organization (KEK), Japan
Abstract: The future light source for advanced lithography should have the following performances: high power more than 1kW to reduce stochastic variation, polarization control, wavelength tunability and cost reduction of the running cost per scanner. To conclude, EUV-FEL is a most promising candidate, so our group has done design work and R&Ds to realize the EUV-FEL. At the conference, we will present our latest development status.
A05-07
Presentation title: New Activity of EUV Light Source for Semiconductor Manufacturing in Kyushu-University (Invited)
Author(s) and affiliation(s): Yukihiko Yamagata 1, Hakaru Mizoguchi 1,2, Kentaro Tomita 3, Daisuke Nakamura 1, Takeshi Higashiguchi 4, Atsushi Sunahara 5, Katsunobu Nishihara 6, Takashi Toshima 2, Hiroki Kondo 1, Takuji Sakamoto 2, Tanemasa Asano 2 and Masaharu Shiratani 1,2, 1 Kyushu University, Japan, 2 EUV Photon Co. Ltd., Japan, 3 Hokkaido University, Japan, 4 Utsunomiya University, Japan, 5 Purdue University, USA, 6 Osaka University, Japan
Abstract: A new EUV exposure research center "EUV Photon Co." has been established to support material development for EUV lithography. An EUV exposure system is being prepared for this purpose. The second topic focuses on Sn plasma dynamics studied using Thomson scattering measurements. Our group demonstrated the possibility of achieving CE 10 % through both simulation and experiment last year. These results suggest further potential for improving EUV output and CE. Finally, a high power EUV source research program in collaboration with Riken and other universities will be described.
A05-08
Presentation title: Development of Beyond EUV Interference Lithography tool at NewSUBARU
Author(s) and affiliation(s): Ryuta Shiga, Shinji Yamakawa and Tetsuo Harada, Univ. of Hyogo, Japan
Abstract: Beyond EUV (BEUV) lithography with 6.7 nm wavelength is expected as a potential solution to meet the rigid demands of the smaller feature sizes, and the resist evaluation tool for BEUV-patterning performance is required. In this study, a new diffraction grating for BEUV irradiation was introduced into the interference lithography tool with a two-window transmission grating installed at NewSUBARU BL09B beamline, and the BEUV-patterning performance of several resists was evaluated.
A05-09
Presentation title: Evaluation of Resists Exposed using sub-200 eV Electron Beam towards Beyond-EUV Lithography.
Author(s) and affiliation(s): Ryuichi Yamasaki, Shinji Yamakawa, Tetsuo Harada, Univ. of Hyogo, Japan
Abstract: It is recognized that the contribution of electrons as well as light is important in the chemical reaction of EUV resist. However, the reaction mechanism when exposed with Beyond EUV (BEUV) has not been studied much. In this study, we evaluated resists by irradiating with an electron beam of 200 eV or less to understand the behavior of electrons in resist when BEUV exposure.
A05-10
Presentation title: Resist imaging by laser-based photoemission electron microscopy (Invited)
Author(s) and affiliation(s): Toshiyuki Taniuchi, The University of Tokyo, Japan
Abstract: The research presents a new high-throughput imaging method for resist using Photoemission electron microscopy (PEEM). By combining a continuous wave laser with an aberration corrector, this laser-PEEM system achieves spatial resolution below 3 nm. Due to PEEM's high sensitivity to chemical states, it enables the observation of not only developed patterns but also latent images before development, demonstrating its versatility as a projection-type microscopy tool for lithography.
A05-11
Presentation title: Acquisition of micron-scale chemical mapping image of resist by PEEM
Author(s) and affiliation(s): Shinji Yamakawa, Tsukasa Sasakura and Tetsuo Harada, Univ. of Hyogo, Japan
Abstract: Photoemission electron microscopy (PEEM) is a type of electron microscopy that utilizes electrons emitted via photoexcitation. Previously, we demonstrated the chemical distribution observation of the resist surface using X-ray PEEM. In this study, we obtained microscale chemical mapping images to evaluate the chemical composition distribution on the resist surface.
A05-12
Presentation title: Inspection of next generation hybrid EUV resists with NP-SIMS (Invited)
Author(s) and affiliation(s): Gregrey Swieca 1, Won-Il Lee 2, Shixian Ha 2, Nikhil Tiwale 3, Chang-Yong Nam 2,3, and Michael J. Eller 1, 1 Ca
lifornia State University, USA, 2 Stony Brook University, USA, 3 Brookhaven National Laboratory, USA
Abstract: Novel organic-inorganic hybrid resists are receiving much attention as they exhibit high EUV sensitivity and improved etch resistance. Nano-projectile secondary ion mass spectrometry (NPSIMS) is a variant of traditional SIMS for nanoscale inspection of next-generation hybrid resists with lateral resolution to meet the needs of state of the art for EUV patterning. We applied NP-SIMS to study samples of PMMA infiltrated with varying amounts of InOx via vapor-phase infiltration (VPI) and examined the uniformity of In infiltration and the formation of In clusters in PMMA.
A05-13
Presentation title: Characterization of latent image of photoresist via critical-dimension resonant soft X-ray scattering (Invited)
Author(s) and affiliation(s): Qi Zhang, Kas Andrle, Weilun Chao, Zhengxing Peng, Warren Holcomb, Ryan Miyakawa, Dinesh Kumar, Alexander Hexemer, Patrick Naulleau, Bruno La Fontaine, Ricardo Ruiz, Cheng Wang, Lawrence Berkeley National Lab, USA
Abstract: Metrology is essential for accurate characterization and optimization of EUV lithography as critical dimensions shrink below 10 nm. We introduce CD-RSoXS, combining near-edge X-ray absorption fine structure spectroscopy, scatterometry, and multiphysics modeling, to non-destructively probe the 3D structure and chemistry of nanostructures across EUV lithography stages—latent imaging, post-exposure bake, and development—providing crucial insights into stochastic variations driven by material property, processing, chemistry, and photon/electron-induced effects.
A05-14
Presentation title: Nanoscale resist pattern defects clustered in the micron region found by soft X-ray microscopy
Author(s) and affiliation(s): Takeharu Motokawa 1, Shuhei Iguchi 2, Shinji Yamakawa 2, Tetsuo Harada 2 and Takeo Watanabe 2, 1 KIOXIA, Japan, 2 Univ. of Hyogo, Japan
Abstract: Our presentation describes defects in a chemically amplified resist. The resist was designed to form hole patterns of less than 20 nm by electron beam lithography. The thickness of the resist was about 50 nm, and its main component was polyhydroxy styrene. A soft X-ray microscope was constructed by us to evaluate the resist patterns. We succeeded in finding characteristic resist pattern defects. The defects were also observed by atomic force microscopy to measure the surface topography. These results could be applied to EUVL and other lithography. We would like to share these results.
A05-15
Presentation title: Evaluation of Inorganic-organic Hybrid Resist Materials with ultrafast coherent high harmonic generation (HHG) EUV system developed in QST
Author(s) and affiliation(s): Hiroki Yamamoto, Thanh-Hung Dinh and Masahiko Ishino, QST, Japan
Abstract: In the realization of further miniaturization in semiconductor devices, it is essential to get the new resist design such as inorganic-organic hybrid resist materials for high-NA EUV lithography. Recently, we developed ultrafast coherent EUV system based on high harmonics generation (HHG) and applied to resist evaluation. In this study, some inorganic-organic hybrid resist materials known as metal-oxo clusters were synthesized and their sensitivity were evaluated by using this HHG EUV system. The effect of metal core on resist performances will be discussed in the conference.
A05-16
Presentation title: Multi-Trigger Resists: Modeling and Simulation Results
Author(s) and affiliation(s): Thiago J. dos Santos 1, Andreas Erdmann 1, Alex P. G. Robinson 2,3, Alexandra McClelland 2, Carmen Popescu 2, Bernardo Oyarzún 4, Joost van Bree 4 and Mark van de Kerkhof 4, 1 Fraunhofer Institute for Integrated Systems and Device Technol., Germany, 2 Irresistible Materials, UK, 3 University of Birmingham, UK, 4 ASML Netherlands, the Netherlands
Abstract: The Multi-Trigger Resist (MTR), a novel negative-tone photoresist, shows significant potential for extreme ultraviolet (EUV) lithography, offering lower dose-to-size (DtS) requirements compared to chemically amplified resists (CAR) and metal-oxide resists (MOR). This research develops a stochastic model of the MTR process and validates it; first simulation results show a good reproduction of experimental data. Current efforts aim to identify correlations between MTR reaction mechanisms and key lithographic performance metrics.
A05-17
Presentation title: PFAS-free rinse materials for pattern collapse mitigation in EUV lithography
Author(s) and affiliation(s): Raymond Jin, Kazuma Yamamoto, Naoki Matsumoto, Maki Ishii, Miho Yamaguchi, Yusuke Hama and Takuo Endo, Merck Electronics, Japan
Abstract: Pattern collapse due to the capillary forces of water continues to constrain the process margins for EUV CAR patterning at small feature sizes. While PFAS-containing rinse formulations are widely recognized for their role in collapse mitigation during the development process, there is a growing demand for a PFAS-free solution as regulations become more stringent. In this study, novel PFAS-free rinse materials were found to be comparable to their PFAS counterparts, effectively maintaining significant collapse mitigation performance at the 14 nm half-pitch.
A6. Nanoimprint
Symposium Chairs: Yoshihiko HIRAI (Osaka Metropolitan University), Jun TANIGUCHI (Tokyo University of Science), Satoshi TAKEI (Toyama Prefectural University), and Sosuke OSAWA (JSR) , and Kazuma Kurihara (AIST)
A06-01
Presentation title: Optical polymer wafers for waveguide of AR Glasses (Invited)
Author(s) and affiliation(s): Akifumi Aono, Eiichiro Hikosaka, Takeshi Shinkai, Takashi Kasai, Akihiro Muramatsu, Mitsui Chemicals, Inc., Japan
Abstract: We have developed new optical grade polymer wafer, a lightweight, durable, and scalable alternative to traditional glass wafers for waveguides of AR glasses. In this report, we will discuss how polymer wafer works seamlessly in the nanoimprint lithography process and functions effectively as a waveguide.
A06-02
Presentation title: High Refractive Index Polymers for Advanced Photonics: Opportunities and Challenges
Author(s) and affiliation(s): C. Pina-Hernandez, K. Yamada, A. Legacy and K. Munechika, HighRI Optics, USA
Abstract: High refractive index (HRI) polymers offer enhanced optical performance, reduced device footprint, and improved manufacturability via nanoimprint lithography, making them crucial for advanced photonics. To adopt HRI materials, challenges like optical performance, reliability, and compatibility with existing technologies must be addressed. This presentation covers HRI materials, including nanofiller-free and nanocomposites, with refractive indices from 1.65 to 2.05, tailored for emerging applications in consumer electronics and telecommunications.
A06-03
Presentation title: Development of TiO₂-SiO₂ radical-based gas-permeable mold for application in UV nanoimprint lithography
Author(s) and affiliation(s): Misaki Oshima 1, Mayu Morita 1, Mano Ando 1, Rio Yamagishi 1, Sayaka Miura 1, Naoto Sugino 2 and Satoshi Takei 1, 1 Toyama Prefectural Univ. and 2 Sanko Gosei, Japan
Abstract: This presents the development of TiO₂-SiO₂ radical-based gas-permeable mold for application in microfabrication using UV nanoimprint lithography. The gas-permeable mold fabricated with this surface material successfully replicated the master mold pattern with a high fidelity. Furthermore, this gas-permeable mold demonstrated high-precision processing for the lactic acid-glycolic acid copolymer. These advancements are anticipated potential applications across various industrial sectors that require microfabrication.
A06-04
Presentation title: Fabricating of the structure with partially different wettability incorporating the moth-eye structure and the applications
Author(s) and affiliation(s): Takuto Wakasa and Jun Taniguchi, Tokyo Univ. of Science, Japan
Abstract: Rose petals are adhesively hydrophobic due to a hybrid structure that combines nanoscale and microscale structures. Previous studies have reproduced this structure via UV nanoimprint lithography and the roll press, however, light scattering from the microstructure resulted in reduced transmittance. Therefore, we were inspired by the Namib Desert beetle which collect water using surfaces that have partially hydrophilic regions on a hydrophobic surface. By incorporating this structure with the moth-eye structure. That has potential applications in microfluidic systems.
A06-05
Presentation title: Fabrication of Rose Petal effect Surface using photosensitive polyimide and nanoimpring
Author(s) and affiliation(s): Yoritaka Danjo and Jun Taniguchi, Tokyo Univ. of Science, Japan
Abstract: Structure of rose petals compose micro-pillars and nano-wrinkles. Also, we call hybrid structure and hybrid structure of rose petals have adhesion and water-repellent functions. In this study, we fabricated nano-micro hybrid structures with photosensitive polyimide (PI). Our previous research shows photosensitive PI can be irradiated with an oxygen ion beam to form a moth-eye structure. This study is also an extension of the fabrication of moth-eye structure. Hybrid structures were fabricated by forming a moth-eye structure on a micro-pattern fabricated by photolithography.
A06-06
Presentation title: Nanoimprinting Lithography as Permanent Imprint or Etching Mask (Invited)
Author(s) and affiliation(s): Thomas Achleitner, EV Group, Austria
Abstract: Many innovative devices in the photonics industry depend on such advanced fabrication technologies to ensure perfect pattern fidelity. Nanoimprinting lithography meets these requirements. Additionally, NIL is an open material platform capable of processing high refractive index materials for permanent imprints and etching-optimized materials for sacrificial layers. Furthermore, it is not constrained by substrate dimensions or shapes. The presentation will discuss recent achievements for permanent imprinting and sacrificial layer imprinting for various applications
A06-07
Presentation title: Three-dimensional patterning technique for nanoimprint mold by dwell-time algorithms with iterative blind deconvolution method
Author(s) and affiliation(s): Noriyuki Unno, Ryunosuke Komine, Jun Taniguchi and Shin-ichi Satake, Tokyo Univ. of Science, Japan
Abstract: Electron beam lithography (EBL) is widely used to fabricate master molds for nanoimprint lithography, but obtaining a smooth 3D mold with a sub-500 nm step pattern is challenging. In this study, we propose a dwell-time algorithm to fabricate a smooth surface using direct 3D patterning based on EBL.
A06-08
Presentation title: Fabrication of environmentally friendly biodegradable polylactic acid microstructures by micro-injection molding using amine-containing gas-permeable hybrid molds
Author(s) and affiliation(s): Mayu Morita 1, Misaki Oshima 1, Arisa Teramae 1, Sayaka Miura 1, Rio Yamagishi 1, Naoto Sugino 2, Yoshiyuki Yokoyama 3 and Satoshi Takei 1, 1 Toyama Prefectural Univ., Japan, 2 Sanko Gosei, Japan, 3 Toyama Industrial Technol. Res. and Develop., Japan
Abstract: Polylactic acid (PLA), a biodegradable material, presents challenges in molding owing to its narrow crystallization range and low heat resistance. Moreover, conventional injection moldings impede gas permeation, thereby hindering microfabrication processes. In this investigation, PLA microstructures with a height of approximately 1.2μm were successfully fabricated using amine-containing cationic gas-permeable molds. This outcome demonstrates the feasibility of plastic surface microfabrication via microinjection molding using PLA.
A06-09
Presentation title: Low-Temperature Nanoimprint Lithography for Advanced Microneedles in Temperature-Sensitive Drug Delivery
Author(s) and affiliation(s): Sen Lean Goo, Rio Yamagishi, Sayaka Miura and Satoshi Takei, Toyama Prefectural Univ., Japan
Abstract: This study focuses on developing microneedles (MNs) by combining collagen and hyaluronic acid to enhance mechanical strength, thereby improving skin penetration and drug distribution, particularly for temperature-sensitive medicine. The researchers fabricated the MNs utilizing a TiO₂-SiO₂ porous mold to mitigate gas entrapment and achieve high resolution through low-temperature (5°C) nanoimprint lithography without photoinitiator for biocompatibility. Dissolution assays confirmed effective drug release, whereas FT-IR analysis verified the stability of vitamin C.
A7. 193 nm Lithography Extension
Symposium Chair: Yoshio KAWAI (Shin-Etsu Chemical)
A07-01
Presentation title: Development of novel PAG for thick layer photoresist application
Author(s) and affiliation(s): Won Seok Lee, DuPont Specialty Materials Korea, Korea
Abstract: As the demand for narrower and finer pitch pattern sizes continues to grow, it is critical to develop materials that allow for light to reach the bottom of the photoresist. Among the materials that comprise a photoresist, most of the light is absorbed by photo-acid generators (PAG) and/or photo-decomposable quenchers (PDQ). Therefore, methods aimed at increasing the transparency of these small molecules while maintaining high efficiency is important for thick photoresist applications.
A8. Photopolymers in 3-D Printing/ Additive Manufacturing
Symposium Chair: Takumi UENO (Shinshu University)
A08-01
Presentation title: Initiator-free recyclable resin available for two-photon lithography (Invited)
Author(s) and affiliation(s): Masaru Mukai, Wakana Miyadai, Shoji Maruo, Yokohama National University, Japan
Abstract: Rapid manufacturing with stereolithography is gaining attention, increasing demand for high-precision large-scalemodeling. However, cured resins are difficult to reuse. While reusable resins are being developed, they require radical polymerization initiators, causing material changes. This study presents a photocurable resin that can be recycled without an initiator, offering a sustainable solution for high-precision two-photon lithography.
A08-02
Presentation title: Physics-based deep learning network for parallelized two-photon polymerization lithography using a spatial light modulator
Author(s) and affiliation(s): Valeriia Sedova 1,Thomas Le Deun 2, Joel Rovera 2, Jonas Wiedenmann 3, Kevin Heggarty 2, Andreas Erdmann 1, 1 Fraunhofer Institute for Integrated Systems and Device Technol., Germany and 2 IMT Atlantique, France and 3 Heidelberg Instruments Mikrotechnik
Abstract: Two-photon polymerization lithography enables high-precision 3D microstructure fabrication but faces challenges from optical and chemical effects leading to structural deviations. We present a physics-based, deep learning approach that combines a U-Net with a differentiable 3D lithography model to optimize intensity distributions for an SLM-based exposure setup. This method incorporates key lithography steps, including PSF convolution, chemical diffusion and development, enabling accurate and efficient fabrication of complex photopolymer structures.
A08-03
Presentation title: Photopolymers with Enhanced Thermomechanical Properties for 3D Printing Applications
Author(s) and affiliation(s): Céline Croutxé-Barghorn, Lucile Halbardier, Emile Goldbach, Xavier Allonas, Univ. of Haute-Alsace, France
Abstract: Acrylate resins are widely implemented in 3D printing. Despite their important reactivity and commercial availability, they suffer from yielding inhomogeneous and uncontrolled polymer structure and high crosslink density or limited mechanical properties. This presentation will highlight the potential of the aza-Michael addition or the incorporation of RAFT agents to control the polymer network structure and enhance elongation at break, toughness or SMP properties.
A9. Strategies and Materials for Advanced Packaging, Next Generation MEMS, Flexible Devices
Symposium Chairs: Takumi UENO (Shinshu University), Sanjay Malik (SCREEN SPE USA), and Kuniharu TAKEI (Hokkaido University),
A09-01
Presentation title: Next Generation Chiplet Technology Development:Focusing on Fine RDL Patterning (Invited)
Author(s) and affiliation(s): Masaru Sasago, Osaka Metropolitan Univ., Japan
Abstract: Keywords—Chiplet, Redistribution Layer (RDL), Damascene CMP, Photo dielectric organic Material, CoWoS
A09-02
Presentation title: Hybrid Integration for Advanced Electronic-Photonic Packaging (Invited)
Author(s) and affiliation(s): Yuma Yoshida , Taiga Sakamoto, Masahiro Matsunaga, Takashi Kawamori, Masayoshi Nishimoto, Resonac Corporation
Abstract: This presentation focuses on the challenges in hybrid integration for electronic-photonic packaging, particularly integrating optical and electronic components into a single package. As demand grows for higher bandwidth, lower latency, and reduced power consumption, photonic-electronic integration advances. However, challenges like thermal management, signal integrity, and scalability remain. The presentation explores solutions, emphasizing advanced packaging techniques, material innovations, and design considerations.
A09-03
Presentation title: The Development of Novel Polymer Materials for Advanced MEMS Packaging (Invited)
Author(s) and affiliation(s): Takenori Fujiwara, Toray Industries, Inc.
Abstract: This talk covers the trends and challenges specific to advanced packaging polymer materials technology, including cavity formation and multi-stacked dielectric layers for MEMS devices. We will introduce polymer material property and processing technology how to make the organic cavity structure by using permanent dry film resist with tenting lamination and photolithography process or polymer sealing technology and so on. We will also report that feature of MEMS packaging properties.
A09-04
Presentation title: Creating Innovation for Sustainable World in Partnership with Semiconductor Eco System (Keynote)
Author(s) and affiliation(s): Takumi Mikawa, SCREEN Semiconductor Solutions, Japan
Abstract: Recent advances in generative AI are driving device scaling, 3D stacking and system integration. Those have a wide impact on the development of technology from material suppliers to system vendors. More complex device structures and new materials are explored for next generation. In order to meet high customer requirements, we need to develop the innovative wet cleaning solutions such as removal of smaller particle and extremely high etching selectivity/uniformity. Also, working on environmental issues has been increasingly important. Our Semiconductor Eco System. by collaborating with institutes, suppliers, and academia, we can create innovation for sustainable world in partnership to provide customer value. In the case of device scaling, as Moore’s law slows down, new approaches are required to achieve higher performance and lower power consumption in semiconductor devices. Gate-all-around (GAA) FETs are replacing FinFETs as the architecture of today, and CFETs are expected to follow. A key process is to manage SiGe process with different concentrations. We are developing wet cleaning solutions for new material with imec and chemical vendors. In the case of carbon footprints, we still have a big gap under current global implemented politics to achieve net zero in 2050. Furthermore, CO2 emissions are estimated to increase with scaling device due to more process steps. Semiconductor industry needs to contribute carbon neutrality across the broad supply chain. We have developed chemical reduction by recycling cabinet and efficient circulation system to reclaim in the tool. Our CO2 emissions are mostly SCOPE3, so we need more collaborations with material suppliers and component manufacturers at upstream, and chip manufacturers at downstream in the supply chain. Wet process development in advanced ecosystems is essential to achieve high yields at leading-edge nodes, no damage to device, and a low carbon footprint during fabrication.
A09-05
Presentation title: Digital Lithography for SWIR Applications Facilitated by Patterning of Novel IR–Pass Resists
Author(s) and affiliation(s): Ksenija Varga 1, Lisa Berger 1, Tobias Zenger 1, Boris Považay 1, Hirotaka Takishita 2, Yoshiki Takahashi 2, Yoshinori Taguchi 2, Johannes Koch 3 and Matthias Schicke 3, 1 EV Group, Austria and 2 FUJIFILM Electronic Materials Japan and 3 Fujifilm Electronic materials Europe,
Abstract: The Maskless Exposure (MLE) Technology, previously demonstrated for RGB resists, is now applied to novel IR resists for high-performance SWIR camera applications. The negative tone IR Resist was patterned on 200 mm silicon as well as on glass wafers in resolution range from 2 to 20 µm. The novel IR–resists exhibit high resolution and low reflectance, making them ideal for their integration in advanced imaging applications. This development is set to establish a new industry standard in field of digital lithography systems.
A09-06
Presentation title: Rheological properties of resin films containing a polymethacrylate having a urea moiety
Author(s) and affiliation(s): Hiroshi Matsutani 1, Masahiro Aoshima 1, Kenji Tanaka 1, Bao Li 1, Akitoshi Tanimoto 1, Yasuharu Murakami 1, Xiaodong Ma 2 and Xuesong Jiang 2, 1 Resonac, Japan, 2 Shanghai Jiao Tong Univ., China
Abstract: Resin film materials, such as thermosetting adhesive films, require gap-fill performance. However, increasing the fluidity of the resin causes tackiness (stickiness) in the film. We report herein that a resin film with low tackiness and high gap-filling is obtained by using a polymethacrylate having a urea moiety as the main component.
A09-07
Presentation title: Carrier warpage improvement using non-photosensitive dielectric material for high I/O density organic RDL (Invited)
Author(s) and affiliation(s): Guillermo Fernández, TSMC Japan 3DIC
Abstract: In this study, we demonstrated that non-photosensitive polyimide material can effectively reduce the warpage in the RDL fabrication process. It was also demonstrated that crack initiation risk on stack via can be reduced. Last, via opening ability was experimentally tested by excimer laser and plasma dry etching techniques, both methods allowed via size equivalent to conventional photosensitive dielectrics.
A09-08
Presentation title: Development of Negative-tone Photosensitive Dielectric Materials for Fine Pitch RDL in Chiplet Integration (Invited)
Author(s) and affiliation(s): Toshiyuki Ogata, 3D Packaging Materials Project, Research Division, TAIYO HOLDINGS CO., LTD., Japan
Abstract: In this work, we developed negative-tone photosensitive dielectric material with fine resolution and high insulation reliability for Fine Pitch Redistribution Layers (RDL) in Chiplet Integration. We achieved 0.7 micrometer L/S patterns with an aspect ratio of 3.7 using an i-line stepper. Further, we developed a test vehicle with 1 micrometer L/S patterns using the semi-additive process and evaluated the insulation reliability using a biased highly accelerated stress test (BHAST).
A09-09
Presentation title: Atomic-level analysis of Cu/polyimide interface under high temperature storage and the investigation of adhesion degradation mechanism. (Invited)
Author(s) and affiliation(s): Yugo Kubo, Sumitomo Electric Industries, Ltd., Japan
Abstract: Understanding the interfacial state of Cu/polyimide is crucial in the development of semiconductor packaging. We formed a Cu thin film on a polyimide substrate using a vacuum evaporation method and thoroughly investigated the microscopic changes over time of the "oxidation diffusion layer" generated by heating it at 150°C in the atmosphere, utilizing cutting-edge analytical techniques. In this presentation, I will discuss the mechanisms behind the decrease in interfacial adhesion that occurs with the growth of the "oxidation diffusion layer".
A09-10
Presentation title: Crack Resistance Evaluation Method of Photoimageable Dielectrics for Redistribution Layer
Author(s) and affiliation(s): Kenichi Takeuchi, Chiharu Koga, Tomoaki Shibata, Yu Aoki and Yukika Aoki, Resonac, Japan
Abstract: FO-PKG (Fan-Out Package) is one of the most important semiconductor packaging structures for achieving high-density integration. Within FO-PKG, photoimageable dielectrics for redistribution layers are a key material, and crack resistance of the photoimageable dielectrics in TCT (Thermal Cycle Test) is a crucial factor in determining the package reliability. However, the fabrication and evaluation of TEG (Test Element Group) for TCT are time-consuming due to complex procedures. This study examines the relationship between tensile properties and crack resistance.
A09-11
Presentation title: Enhanced Inductors and Balun Transformers Performance for 5G-6G Applications with Patterned Magnetic Materials with Special Rinse Solution
Author(s) and affiliation(s): Seiya Masuda, Tetsushi Miyata, Hiroaki Idei, Shota Oi, Hiroyuki Suzuki and Hidenori Takahashi, FUJIFILM, Japan
Abstract: In 5G-6G devices, planar inductors and balun transformers are essential for low-height wafer-level packaging. Patterning magnetic materials around these components improves electromagnetic shielding and inductance while reducing coil size by 45%, as shown by COMSOL® simulation. The i-line photosensitive magnetic materials were developed using conventional aqueous alkaline solutions. Heavy magnetic particles remained during TMAH development, however, a surfactant-based rinse effectively removed them, enhancing the process and preventing defect formation.
A09-12
Presentation title: Development of a Novel Negative-Tone Dry Film Resist with PVA Layer for Wiring with 1.5/1.5 µm Line/Space (Invited)
Author(s) and affiliation(s): Natsuki Toda, Kei Togasaki, Kensuke Yoshihara, Yosuke Kaguchi, Ayane Mochizuki, Kanako Funai, Hitoshi Onozeki, Kenichi Iwashita, Resonac Corporation
Abstract: The semiconductor package substrate requires high-density connections to enhance signal transmission speed, and 2.xD packages with fine redistribution layers (Line/Space = 2/2μm or less) are attracting attention from the market. In order to form fine wiring with high precisions, our company has developed a negative-tone dry film with a protective layer consisting mainly of polyvinyl alcohol (PVA) as a new technology. In this presentation, we will discuss the functions of PVA and the wiring formation characteristics of this dry film.
A10. Chemistry for Advanced Photopolymer Science
Symposium Chairs: Haruyuki OKAMURA (Osaka Metropolitan University), Takashi KARATSU (Chiba University), and Akinori SHIBUYA (FUJIFILM)
A10-01
Presentation title: Breaking boundaries of photoinitiators: new ways to improve the reactivity of radical photopolymerization (Invited)
Author(s) and affiliation(s): Xavier Allonas, University of Haute Alsace, France
Abstract: In this paper, we investigate different ways to increase the reactivity of Type I or Type II photoinitiators by introducing new latent reactive additives leading to additional initiating radicals, promoting singlet-singlet resonance energy transfer of Type I photoinitiators, overcoming diffusional limit in Type II photoinitiating system by pre-associating ion-pairs, … It is shown that high photopolymerization rates can be achieved even at very low light irradiances.
A10-02
Presentation title: A Predictive Model for Polymerization Shrinkage Stress Derived from Photocuring Kinetics
Author(s) and affiliation(s): Gaoxiang Luo, Yusuke Hiejima, and Kentaro Taki, Kanazawa Univ., Japan
Abstract: A predictive model for polymerization shrinkage stress is developed based on photocuring kinetics. By coupling a reaction kinetics model with stress evolution derived from Flory-Rehner equilibrium swelling theory, the model accurately predicts stress development during polymerization. The approach is validated through experimental data, demonstrating its applicability in material design and optimization. This model provides insights into stress control in photocurable polymers, benefiting various industrial applications.
A10-03
Presentation title: In-situ investigation of as-cast homopolymer-based resists
Author(s) and affiliation(s): Markus Langner 1, Gregrey Swieca 1, Won-Il Lee 2, Shixian Ha 2, Nikhil Tiwale 3, Chang-Yong Nam 2,3, and Michael J. Eller 1, 1 California State Univ., USA, 2 Stony Brook Univ., USA, 3 Brookhaven National Lab., USA
Abstract: Recently, the use of homopolymers as photoresists has gained interest. Here, we examined a series of as-cast polymethylmethacrylate, PMMA, resists with varying molecular weights (35 kDa to 950 kDa) with nano-projectile secondary ion mass spectrometry, NP-SIMS. We identified characteristic ions related to PMMA and found trends in the measured abundance of main-chain ions and end-group which depend on the molecular weight of the homopolymer. Further, the ratio among several characteristic PMMA fragments revealed significant differences as a function of molecular weight.
A10-04
Presentation title: Machine Learning-Assisted Dissolution Behavior Investigation of ArF Lithographic Materials
Author(s) and affiliation(s): Xia Lin 1, Xiyan Dong 2, Haofan Ji 1, Yuhua Li 1, Yisong Huo 1, Wei Li 1, Liwen Mu 2, Xiaohua Lu 2, and Ruzhi M Zhang 1, 1 Suzhou Lab., China, 2 Nanjing Tech Univ., China
Abstract: This study proposes a novel approach to evaluate the polymer structure of lithographic materials by directly polymerizing different functional monomers with acid groups, rather than relying on conventional dissolution rate measurements following exposure and PEB. The aqueous development performance is assessed using QCM. We systematically explore how monomer structures, polymer molecular weights, and polydispersity indices affect swelling and dissolution behaviors. This approach provides a new paradigm for lithographic material screening.
A10-05
Presentation title: NIR induced anionic photopolymerization based on upconversion photochemistry (Invited)
Author(s) and affiliation(s): Quanping Xie, Yaoxin Huang, Pin Yang, and Zhiquan Li, Guangdong Univ. of Technol., China
Abstract: NIR light-induced polymerization offers significant advantages including deep penetration capability, minimal energy loss, and reduced biological damage compared to UV systems. This study explores an anionic photopolymerization system, integrating photobase generation with upconversion mechanisms to achieve efficient polymerization under NIR irradiation. The research demonstrates promising applications in deep-curing processes, composite material fabrication, and functional material preparation, enriching the toolbox of photopolymerization.
A10-06
Presentation title: Interference of Photobase generators on Covalent Bond Exchanges in Vinylogous Urea Vitrimers
Author(s) and affiliation(s): Kanji Suyama 1, Hirokazu Hayashi 2, and Hideki Tachi 2, 1 Osaka Metropolitan Univ., Japan, 2 Osaka Research Institute of Industrial Science and Technology (ORIST), Japan
Abstract: Vitrimer resins are materials that can proceed easier covalent bond exchange at lower temperature and attract much attention as recyclable polymers. Herein we examined the effect of photobase generators (PBGs) on the bond exchange in the vinylogous-urea based vitrimer resins. Nitrobenzyloxycarbonyl-based PBG reacted thermally with the vitrimer resin at bond exchanging temperature, while acyloxime-based PBG did not. Solubility test and rheological measurement revealed that the effect of UV-irradiation was limited for both PBGs.
A10-07
Presentation title: Relationship between photochemical properties of oxime esters and their efficiency as photoinitiators for free radical induced photopolymerization
Author(s) and affiliation(s): Arthur Guenan 1, Xavier Allonas 1, L. Feiler 2, R. Frantz 3, and Céline Croutxé-Barghorn 1, 1 Univ. of Haute Alsace, France, 2 BASF Schweiz AG, Switzerland
Abstract: Oxime esters are of growing interest as Type I photoinitiators thanks to their high efficiency in radical photopolymerization. In this paper, the relation between the structure, photochemical properties, reactivity, and efficiency in photopolymerization processes of two commercial oxime esters have been studied. Their efficiencies were investigated by RT-FTIR and correlated to the molecule structures, absorption properties, and electronic transitions thanks to DFT calculations. Finally, a reaction pathway is proposed as supported by photolysis, LFP and LC-MS experiments
A10-08
Presentation title: Photocured Blended Films of Diarylfluorene having Naphthalene Moieties and TiO2 with High Refractive Indices
Author(s) and affiliation(s): Haruyuki Okamura 1, Keiko Minokami 2, and Shinsuke Miyauchi 2, 1 Osaka Metropolitan Univ., Japan, 2 Osaka Gas Chemicals, Japan
Abstract: Abstract is not disclosed due to patent application.
A11. Organic and Hybrid Materials for Photovoltaic and Optoelectronic Devices
Symposium Chairs: Itaru OSAKA (Hiroshima University), and Hideo OHKITA (Kyoto University)
A11-01
Presentation title: Design of low complexity active materials for photovoltaic applications (Keynote)
Author(s) and affiliation(s): Martin Heeney, King Abdullah University of Science and Technology (KAUST), Saudi Arabia
Abstract: The overall efficiency of organic photovoltaic (OPV) devices has made impressive progress in recent years, but often active materials now require complex, multi-step synthesis, potentially limiting their application. We report approaches to prepare building blocks in just one or two steps, allowing the preparation of conjugated polymers of low synthetic complexity which can be readily upscaled. I will highlight how this approach can be used to readily build libraries of conjugated polymers to more rapidly identify promising materials for application in OPV.
A11-02
Presentation title: Development of Fused-Ring Acceptors for Green-Light Wavelength-Selective Organic Solar Cells (Invited)
Author(s) and affiliation(s): Seihou Jinnai, Yuto Shiono, and Yutaka Ie, Osaka Univeristy, Japan
Abstract: We are developing green-light wavelength-selective organic solar cells (OSCs) that are compatible with crop growth and electric power generation. In this study, we designed and synthesized fused-ring electron acceptors with absorption band in the green-light wavelength region (500-600 nm) and suitable electron acceptability for the combination with P3HT. OSC devices based on developed fused-ring electron acceptors and P3HT showed typical OSC characteristics.
A11-03
Presentation title: A Strategically Designed Easily-Synthesized Polymer Donor for Efficient Organic Photovoltaics
Author(s) and affiliation(s): Kodai Yamanaka, Tsubasa Mikie, and Itaru Osaka, Hiroshima Univ., Japan
Abstract: Recent advances in donor and acceptor materials have significantly boosted the power conversion efficiency (PCE) of organic photovoltaics. However, the synthesis of these materials often necessitates complex synthetic routes, thereby increasing the material cost. In this work, we developed a new donor polymer, named PTz3TE, which can be synthesized in just seven steps. without the need for low-temperature reactions and chromatographic purification. In addition, PTz3TE exhibited PCEs of around 18%, a notably high efficiency for a polymer with such a simple synthetic route.
A11-04
Presentation title: Charge Accumulation Behavior and Persistent Luminescence in Organic Materials (Invited)
Author(s) and affiliation(s): Ryota Kabe, OIST, Japan
Abstract: Photoinduced charge generation is a crucial process in solar cells, photosynthesis, and persistent luminescent materials. In organic materials, charge separation typically occurs at the electron donor/acceptor interface. While the generated charges can readily disappear via charge recombination, suppressing recombination enables the realization of a stable charge-separated state. Gradual charge recombination leads to persistent luminescence. y analyzing this luminescence behavior, we have elucidated the charge separation and recombination processes.
A11-05
Presentation title: Organic/Hybrid Thermoelectric Materials and Devices (Invited)
Author(s) and affiliation(s): Cheng-Liang Liu, National Taiwan University, Taiwan
Abstract: Organic thermoelectric materials can directly transform the waste heat into electrical power without causing any pollution. In my talk, we outline the design strategies which aim to develop high-performing organic semiconductors and their materials in organic thermoelectrics. A series of solution-processed organic semiconducting molecules are reported. Doping organic semiconductors, conjugated polymer composites, and gels with ionic salt or redox couples are used to achieve enhanced thermoelectric performance.
A11-06
Presentation title: Fabrication of Organic Field Effect Transistor Using Low Temperature Annealed Fluorinated Block Copolymer
Author(s) and affiliation(s): Tangjun Zhang, Xiaofei Qian and Hai Deng, Fudan Univ., China
Abstract: In this study, a field-effect transistor was fabricated using poly(heptafluorobutyl methacrylate-b-2-vinylpyridine) (PHFBMA-b-P2VP) mixed with bis(2-benzo[b]thiophen-2-ylpyridine) (acetylacetonate) iridium (Ir(bt)2(acac)) as the floating gate. Ir(bt)2(acac) acted as the charge-trapping sites and selectively located in P2VP, which surrounded by insulating PHFBMA. The device exhibited a memory window of 25.4 V, a high ON/OFF ratio of 106, and good stability over 104 s. This study reveals a unique application of fluorinated block copolymers for organic electronics.
A11-07
Presentation title: Synthesis and Photovoltaic Properties of Non-Fullerene Acceptors with Quinoxaline-Based Central Unit (Invited)
Author(s) and affiliation(s): Tomokazu Umeyama, Kaho Yasuzato, Seiya Sugiura, Kenta Yamada, Jun-ichi Inamoto, Shunjiro Fujii, Wataru Suzuki, Univ. of Hyogo, Japan
Abstract: We developed acceptor-donor-acceptor’-donor-acceptor (A-D-A’-D-A) type non-fullerene acceptors (NFAs) featuring a quinoxaline-based central A’ unit such as benzo[g]quinoxaline. Photovoltaic properties of such NFAs were investigated in detail.
A11-08
Presentation title: Influence of Vibronic Interactions on Charge Transfer Excitons in Nonfullerene Organic Photovoltaics (Invited)
Author(s) and affiliation(s): Azusa Muraoka, Japan Women's Univ., Japan
Abstract: We investigated photoinduced charge transfer (CT) states and dissociation processes at the donor/acceptor (D/A) interface of PTB7/BTAx nonfullerene organic thin-film solar cells using DFT and TD-DFT calculations. We focused on the CT distances, electron coupling in the CT state generated by photoexcitation and the Huang–Rhys (HR) factors that describe the non-adiabatic processes associated with vibronic interactions. The results reveal important insights into controlling CT exciton dynamics and optimizing charge separation for improved device performance.
A11-09
Presentation title: ESR analysis of charge-transporting materials in non-fullerene organic solar cells (Invited)
Author(s) and affiliation(s): Atsushi Sato, and Kazuhiro Marumoto, Univ. of Tsukuba, Japan
Abstract: The power conversion efficiencies (PCEs) of non-fullerene organic solar cells have exceeded 20% and further improvement is expected. Although various insights for PCE improvements have been reported, those for stability of solar cell performance are still lacking. In the present study, for clarifying the degradation mechanism, we studied the correlation between charge states and degradation behavior in non-fullerene organic solar cells using operando electron spin resonance spectroscopy.
A11-10
Presentation title: Enhancement of Organic Solar Cell Efficiency via Incorporation of Lead Sulfide Quantum Dots (Invited)
Author(s) and affiliation(s): Qing Shen, Kei Takahashi, Yuyao Wei, Taro Toyoda, and Shuzi Hayase, The University of Electro-Communications, Japan
Abstract: One approach to improving the power conversion efficiency (PCE) of organic solar cells (OSCs) is the incorporation of additives that enhance light absorption and active layer morphology. In this study, we incorporated lead sulfide quantum dots (PbS QDs) into a PM6:Y6-based active layer to enhance PCE. Our results showed that adding PbS QDs improved efficiency. We further analyzed photovoltaic characteristics to elucidate the underlying mechanism, providing insights into the role of quantum dots in OSC performance.
A11-11
Presentation title: Charge carrier dynamics at lead halide perovskite (Invited)
Author(s) and affiliation(s): Yasuhiro Tachiana 1,2, 1 RMIT University, Australia, 2 Osaka University, Japan
Abstract: Lead halide perovskite has shown superior optical properties such as high extinction coefficients, slow hot carrier relaxation, long electron-hole lifetime and high charge carrier mobilities. However, mechanisms of charge carrier transport and interfacial electron-hole recombination have hardly been clarified. In this presentation, we will quantitatively demonstrate how charge carriers can be transported, and separated and recombine at the perovskite interfaces.
A11-12
Presentation title: Charge Transfer at the PEDOT:PSS/Perovskite Interface in RP Sn Perovskite Solar Cells Elucidated by Operando ESR Spectroscopy
Author(s) and affiliation(s): Yizhou Chen, Seira Yamaguchi, Atsushi Sato, Kaito Inoue and Kazuhiro Marumoto, Univ. of Tsukuba, Japan
Abstract: The charge transfer mechanisms at the PEDOT:PSS/perovskite interface of RP Sn perovskite solar cells were elucidated using operando ESR spectroscopy. In the dark, hole transfer from PEDOT:PSS to the perovskite occurs due to Fermi level alignment, leading to the formation of an electron barrier at the interface. Under illumination, hot electrons excited by short-wavelength light transfer from the perovskite to PEDOT:PSS, which enhances the electron barrier, suppresses interfacial carrier recombination, and consequently improves the open-circuit voltage and PCE of the cells.
A11-13
Presentation title: Chlorine-mediated organic conjugated materials and quasi-planar heterojunction devices (Invited)
Author(s) and affiliation(s): Feng He, Southern University of Science and Technology, China
Abstract: We have developed a series of material systems with specific transport structures by controlling the arrangement and aggregation of organic optoelectronic molecules through the Cl∙∙∙S and Cl∙∙∙π interactions. In particular, we discovered a three-dimensional (3D) network structure in the model molecules with specific chlorine-mediated intermolecular interactions. This aggregated state provides more transport junctions and channels for intermolecular electron hopping, which will increase the exciton diffusion distance and effectively improve mobility.
A11-14
Presentation title: Molecular Orientation and Structure Controls from Film Surface for Organic Electronics
Author(s) and affiliation(s): Keisuke Tajima, RIKEN, Japan
Abstract: Structural controls of organic semiconductors in thin films such as crystallinity and molecular orientaions at the interface and in the bulk are vital for their use in various organic electronic devices.We will discuss the recent molecular desgins to control the molecular orientations, new analytical methods to evaluate the surface electronic structures and their applications in the field of organic electronic devices such as solar cells and field effect transistors.
A11-15
Presentation title: Development of Efficient Porphyrin Sensitizers for Dye-Sensitized Solar Cells Using Copper Redox Shuttles
Author(s) and affiliation(s): Tomohiro Higashino, Kyoto Univ., Japan
Abstract: Dye-sensitized solar cells (DSSCs) with copper redox shuttles have attracted considerable attention because they can achieve a high open circuit voltage with a resultant high power conversion efficiency (PCE). However, the PCEs of porphyrin-based DSSCs with copper redox shuttles is still limited because of an insufficient driving force for dye regeneration. Herein, we designed and synthesized the porphyrin dye and the copper complex and achieved the highest PCE ever reported for porphyrin-based DSSCs using copper redox shuttles.
A12. Fundamentals and Applications of Biomimetics Materials and Processes
Symposium Chairs: Hiroyuki MAYAMA (Asahikawa Medical University), Atsushi SEKIGUCHI (Lithotech Japan), and Takayuki MUROSAKI (Asahikawa Medical University)
A12-01
Presentation title: Superhydrophobic PDMS using femtosecond laser-processed surface molds for anti-icing (Invited)
Author(s) and affiliation(s): Toshimitsu Sakurai 1, Toshihiro Somekawa 2, Yuji Hirai 3, and Hiroki Matsushita 1, 1 Civil Engineering Research Institute for Cold Region (CERI), Japan, 2 Osaka Univ., Japan, 3 Chitose Institute of Science and Technology, Japan
A12-02
Presentation title: Antibacterial property of Si Nanopillars for anti-microbial resistance (AMR) bacteria
Author(s) and affiliation(s): Takeshi Ito 1, Yushi Yanagisawa 1, Go Yamamoto 2, Shigeo Hamaguchi 2, Satoshi Kutsuna 2, Tomohiro Shimizu 1, and Shoso Shingubara 1, 1 Kansai Univ. and 2 Osaka Univ., Japan
Abstract: To prevent contact infections that occur in hospitals, a material based on new concepts, physical antimicrobial performance, are required, because conventional chemical action would produce anti-microbial resistance (AMR) bacteria. We fabricated the nanopillars based on a Si substrate which mimic the surface of cicada wing, and analyzed its antibacterial performance for three major AMR, MDRP, MRSA and ESBL Pro. E. coli. The results show good anti-bacterium effect for all bacteria.
A12-03
Presentation title: Development of antimicrobial adhesion-resistant surfaces by using COP nanopillar structures
Author(s) and affiliation(s): Zihao Zhao, Tomohiro Shimizu, Shoso Shingubara, and Takeshi Ito, Kansai Univ., Japan
Abstract: Nature-inspired nanostructures offer antifouling and optical benefits. This study develops biomimetic nanopillar surfaces mimicking cicada wings to reduce microbial and particulate adhesion while maintaining transparency. Ordered polymer nanopillars were fabricated via anodization and thermal nanoimprint lithography. Based on geometry, wettability transitioned from hydrophobic to superhydrophobic, and optical absorption remained low. These findings provide a promising strategy for antifouling optical coatings and biomedical applications.
A12-04
Presentation title: Practical Biomimetic Frameworks with Specific Case Studies for Extracting and Applying Biological Solutions
Author(s) and affiliation(s): Satoru Tachibana, Kyoto Univ., Japan
Abstract: The methodology of the biomimetic development makes it more efficient to search for biological solutions that can be applied to solve technical problems. This report presents steps for finding ideas using two approaches. In the problem-driven approach, the focus is on developing surface structures for controlling Pomacea canaliculata. In the solution-based approach, the relationship between shrimp morphology and movement speed was verified using a high-speed camera. This research provides a practical framework on the extraction and application of biological solutions.
A12-05
Presentation title: Wettability Control of Ceramic Surfaces with Hierarchical Nano/Micro-patterns
Author(s) and affiliation(s): Shotaro Manabe, and Fujio Tsumori, Kyushu Univ., Japan
Abstract: This study investigates wettability control of hierarchical ceramic surfaces with nano/micro patterns via multi-step imprinting. Inspired by lotus leaves, we fabricated 250 nm-scale lines on 50 μm-scale structures on alumina. Contact angle measurements revealed anisotropic behavior. Deviations from Wenzel and Cassie-Baxter models suggest a mixed wetting state influenced by alumina grain size and grain boundaries. This work provides insights into wetting behavior and design principles for biomimetic ceramics.
A12-06
Presentation title: Magnetically-Actuated Dynamic Culture System for Investigating Mechanical Effects on Biological Growth
Author(s) and affiliation(s): Nako Okamoto, and Fujio Tsumori, Kyushu Univ., Japan
Abstract: Moss cultures under microgravity exhibit slower growth rates, suggesting that mechanical stimuli influence the growth behavior of living organisms. However, most biological culture experiments are conducted under static conditions, and the application of mechanical stimuli has not been sufficiently explored, particularly for plants and fungi. In this study, we developed a "moving culture medium" driven by a magnetic field and used it to culture slime molds, investigating the effects of mechanical stimuli on their growth behavior.
A12-07
Presentation title: High Packing Efficiency Deployment Method Using Swelling Hydrogels Inspired by Out-of-Plane Biological Deformation
Author(s) and affiliation(s): Akito Nagaoka, and Fujio Tsumori, Kyushu Univ., Japan
Abstract: Out-of-plane biological deformation is an important deformation in the developmental process of plants and animals that produces three-dimensional shapes such as leaves and limbs, and its engineering mimicry has attracted much attention. One of the methods to realize these deformations is to utilize swelling, in which a gel material undergoes a large volume change by taking up water, and is considered to have high packing efficiency due to its small volume before deformation. In this study, high packing efficiency expansion method was developed by appropriately arranging gels.
A12-08
Presentation title: Analysis of barnacle exploring behavior on surfaces with different functional groups
Author(s) and affiliation(s): Takayuki Murosaki 1, Shota Asano 2, Yasuyuki Nogata 3, and Yuji Hirai 4, 1 Asahikawa Medical Univ., Japan, 2 Graduate School of Science and Technology, CIST, Japan 3 Central Research Institute of Electric Power Industry, Japan, 4 Chitose Institute of Science and Technology, Japan
Abstract: The barnacle cypris larvae (the settling stage larvae) exhibit exploring behavior on the substrate before their settlement. Previously, it was found that surface functional groups influence barnacle settlement rates. In this study, we investigated the effect of surface functional groups on the barnacle settlement process by observing the pre-settlement behavior of cypris larvae in detail.
A12-09
Presentation title: Effect of Oxygen Addition on the Microstructure Formation on the Surface of PMMA Plate Using Atmospheric-Pressure Low-Temperature Plasma
Author(s) and affiliation(s): Ayumu Takada 1, Motoki Tanaka 1, Atsushi Sekiguchi 2,3, and Masashi Yamamoto 1, 1 National Institute of Technol., Kagawa College, Japan, 2 Litho Tech Japan, Japan, 3 Osaka Metropolitan Univ., Japan
Abstract: We demonstrated the formation of biomimetic surfaces on polymer films using helium-based atmospheric-pressure low-temperature plasma (APLTP). Previously, we focused on polymer films fabricated via solvent-casting. However, the formation of microstructures on the surfaces of polymer plates has not yet been investigated. In this study, we examine the effect of oxygen addition on the morphology and wettability of microstructures formed on the surfaces of acrylate polymer (poly(methyl methacrylate), PMMA) plates using APLTP.
A13. Polyimides and High Thermally Stable Resins
Symposium Chair: Teruaki HAYAKAWA (Institute of Science Tokyo)
A13-01
Presentation title: Highly Reliable Polyimide-based Thermal Interface Material Sheet for Power Modules
Author(s) and affiliation(s): Hiroki Mori, Yohei Sakabe, and Akira Shimada, Toray Industries, Inc., Japan
Abstract: The miniaturization of power modules in xEVs is progressing, but higher power density poses thermal management challenges. Therefore, structures that directly bond the heat sink and module using flexible TIM (thermal interface material) are expected for heat dissipation and reliavility. In this study, we developed a TIM sheet using polyimide and fillers, combining thermal conductivity, insulation, and adhesion. By controlling the thermal expansion coefficient of the TIM, we achieved high thermal cycle reliability even when bonding dissimilar metals like copper and aluminum.
A13-02
Presentation title: Synthesis of Low Dielectric Poly(esterimide)s Incorporating Double-Decker Silsesquioxane Units
Author(s) and affiliation(s): Natsuko Sashi, Erina Yoshida, Hayato Maeda, Kan Hatakeyama-Sato, Yuta Nabae, Ririka Sawada, Shinji Ando, and Teruaki Hayakawa, Inst. of Sci. Tokyo, Japan
Abstract: With the rapid expansion of the Internet, the demand for low dielectric constants (Dk) and dissipation factors (Df) materials has increased. Next-generation wireless technologies need materials with excellent dielectric properties. This study synthesized poly(esterimide)s (PEIs) with double-decker silsesquioxane (DDSQ) to achieve low Dks. DDSQ’s cage-like structure enhances free volume, reducing the Dk. DDSQ-containing PEIs exhibited a low Dk of 2.48 and Df of 0.00187 at 10 GHz, making them promising for next-generation low-k materials.
A13-03
Presentation title: Synthesis and Dielectric Properties of Silicon-Containing Hydrocarbon-Based Polymers via Hydrosilylation Polymerization
Author(s) and affiliation(s): Yuka Azuma, Riku Takahashi, Kan Hatakeyama-Sato, Yuta Nabae, and Teruaki Hayakawa, Inst. of Sci. Tokyo, Japan
Abstract: Next-generation communication technologies are expected to utilize high-frequency electrical signals, necessitating the development of materials with low dielectric loss. In this study, silicon-containing hydrocarbon-based polymers were synthesized via hydrosilylation polymerization. The solubility and thermal properties of the obtained polymers were evaluated, and their dielectric properties were investigated. The results demonstrated that the incorporation of silicon into the molecular structure significantly contributes to reducing the dielectric constant.
A13-04
Presentation title: Synthesis and Dielectric Properties of Poly(phenylene ether) Bearing Bulky Side Chains
Author(s) and affiliation(s): Kentaro Sone, Hayato Maeda, Kan Hatakeyama-Sato, Yuta Nabae, and Teruaki Hayakawa, Inst. of Sci. Tokyo, Japan
Abstract: As operating radio frequencies increase, minimizing transmission losses in high-frequency bands becomes crucial for interlayer insulating films. To address this, a monomer with four 4-cyclohexylphenoxy groups was designed and polymerized via aromatic nucleophilic substitution. The bulky substituents increase free volume, which may help reduce the dielectric constant. Additionally, the polymer’s rigid framework could restrict chain motion, thereby reducing dielectric loss. The relationship between molecular structure and material properties was investigated.
A13-05
Presentation title: Influence of alkyl length on the morphology and higher-order structure of poly(amic acid) flower-like particles
Author(s) and affiliation(s): Yuqian Chen, Kan Hatakeyama-Sato, Yuta Nabae, and Teruaki Hayakawa, Inst. of Sci. Tokyo, Japan
Abstract: Flower-like particles (FLPs) are expected to have potential applications in catalysis, energy storage, and gas adsorption. However, there is no exclusive synthesis route for the fabrication of polymeric FLPs. In this study, we investigated how alkyl chain length influences the morphology of poly(amic acid) (PAA) FLPs synthesized via one-step precipitation polymerization. Hansen solubility parameter, along with characterization techniques, including small-angle X-ray scattering were employed to explain the influence of alkyl chain length on the PAA FLP morphology.
A13-06
Presentation title: Synthesis of Negative-Type Photosensitive Polyimides Using 2-Hydroxyethyl Methacrylate as a Crosslinking Agent and their Dielectric Constant and Dielectric Loss Tangent.
Author(s) and affiliation(s): Koki Matsuo 1, Hayato Maeda 1, Riku Takahashi 1, Makiko Irie 2, Kazuaki Ebisawa 2, Kan Hatakeyama-Sato 1, Yuta Nabae 1, and Teruaki Hayakawa 1, 1 Inst. of Sci. Tokyo, Japan, 2 Tokyo Ohka Kogyo Co. Ltd., Japan
Abstract: Photosensitive polyimides are key materials in high-performance semiconductor packaging. In this study, negative-tone photosensitive polyimides were synthesized using 2-hydroxyethyl methacrylate as a crosslinking agent, which partially decomposed after the imidization reaction at high temperature. Despite the presence of residual polar groups from the crosslinker, the dielectric constant and loss tangent were found to be lower than those of conventional polyimides.
A13-07
Presentation title: Synthesis and Characterization of an Epoxy Monomer with Six Epoxy Groups and its Thermosetting Resin
Author(s) and affiliation(s): Takahisa Hiramatsu, Rika Marui, Kan Hatakeyama-Sato, Yuta Nabae, and Teruaki Hayakawa, Inst. of Sci. Tokyo, Japan
Abstract: High-power semiconductor applications require thermal interface materials (TIMs) with high thermal conductivity. Epoxy resin is a thermosetting polymer that exhibits excellent heat resistance and electrical insulation properties for TIMs. However, its inherently low thermal conductivity remains a challenge. In this study, a novel multifunctional epoxy monomer with six epoxy groups was synthesized and cured with amine curing agents. The thermal diffusivity of the cured epoxy resin was measured to elucidate the influence of the number of epoxy groups on thermal conductivity.
B1 (Japanese). Polyimides and High Thermally Stable Resins -Functionalization and Practical Applications- ポリイミド及び高温耐熱樹脂ー機能化と応用ー
Symposium Chairs: Teruaki HAYAKAWA (Institute of Science Tokyo), Hitoshi ARAKI (Toray), and Kenji MIYAO (Sumitomo Bakelite)
B01-01
Presentation title: Nonstoichiometric Step-Growth Polymerization Based on Intramolecular Catalyst Transfer System (Invited)
Author(s) and affiliation(s): Tomoya Higashihara, Yamagata Univ., Japan
Abstract: In this study, an intramolecular catalyst transfer system has been developed to achieve atypical nonstoichiometric step-growth polymerization based on the Migita-Kosugi-Stille coupling reaction using distannylated- or metal-free thiophene-based monomers and an excess of dibromo monomers, obtaining semiconducting alternating polymers with much higher molecular weights than theoretical values based on Carothers/Flory theory.
B2 (Japanese). Plasma Photochemistry and Functionalization of Polymer Surfaces
Symposium Chairs: Shin-ichi KONDO (Gifu Pharmaceutical University)
B02-01
Presentation title: Time Dependence of On the Absorption Spectra of Indigo Carmine Solution
Author(s) and affiliation(s): Yuki Jonen 1, Yamato Torii 1, Hirofumi Kurita 2, Tatsuru Shirafuji 1 and Jun-Seok Oh 1, 1 Osaka Metropolitan Univ., Japan, 2 Toyohashi Univ. of Technol., Japan
Abstract: We here investigated the indigo carmine (IC) solution to quantitatively evaluate the plasma induced short-lived reactive oxygen species (ROS). The absorbance of IC solution gradually decreases by about 10% over stored time up to 12 days. On the other hand, it remains stable keeping the initial absorbance when removed dissolved gas, mainly dissolved oxygen, in the deionized water and / or IC solution. Also, we found the IC does not decompose within 90 minutes after diluting hydrogen peroxide, a long-lived ROS, but decreased the absorbance afterward.
B02-02
Presentation title: Electrical and Optical Characteristics of Atmospheric-Pressure Nitrogen Plasma Using a Micro Hollow Array Electrode
Author(s) and affiliation(s): Fumiya Taniguchi 1, Keishi Yanai 1,2, Tatsuru Shirafuji 1 and Jun-Seok Oh 1, 1 Osaka Metropolitan Univ., 2 Asahi Polyslider, Japan
Abstract: Discharge characteristics of atmospheric-pressure plasma (APP) using micro hollow array electrode were investigated. Here, we used helium gas, which is widely used in APP, as well as the cost-effect nitrogen gas. A bipolar high voltage pulse was applied to generate the plasma. The electrode surface temperature, assuming the gas temperature, was measured by a thermal camera. Optical emission spectrum was measured by a spectrometer to understand the plasma induced reactive species e.g., •OH, •NO, and O, and to compare the gas temperature which was estimated the surface temperature.
B02-03
Presentation title: Modulating the Concentrations of Reactive Oxygen and Nitrogen Species in Plasma-activated Water Using Dielectric Barrier Discharge with Various Gases
Author(s) and affiliation(s): Soma Hosoi 1, Naoki Azuma 1, Sushil Kumar KC 2, Endre J. Szili2, Akimitsu Hatta 3, Tatsuru Shirafuji 1, and Jun-Seok Oh 1, 1 Osaka Metropolitan Univ., Japan, 2 Univ. of South Australia, Australia, 3 Kochi Univ. of Technol., Japan
Abstract: Plasma-activated water is getting important in the plasma agriculture due to containing the related nitrogen species. These species in the water are generated during the interaction between the plasma and ambient air. However, a reliable method to control nitrogen species as well as oxygen species concentrations remained under development. To address this, we investigated the dependence of irradiation distance on the concentration of the reactive oxygen and nitrogen species. H2O2 was increased as an increase of the distance, while, NO2- was decreased. NO3- showed no clear trend.
B02-04
Presentation title: Modification of Polymer Surface Using Ozone
Author(s) and affiliation(s): Hiroto Mori 1, Kohei Yamashita 1, Tatsuru Shirafuji 1, Jun-Seok Oh 1, and Akimitsu Hatta 2, 1 Osaka Metropolitan Univ., Japan, 2 Kochi Univ. of Technol., Japan
Abstract: Gaseous ozone was used to modify the polystyrene surface for understanding of the mechanism of plasma polymer surface modification and an atmospheric-pressure helium plasma jet (APPJ) was used in comparison. studied by measuring hydrophilicity. Water contact angle (WCA) was measured to evaluate the surface modification. The WCA decreased from 90 to 80 o after the exposure to ozone even for a long period of 30 min. While WCA to be 30 o after the APPJ treatment for a few seconds. This reflects well the ozone is not strongly linked to the polymer surface modification.
B02-05
Presentation title: RF-PECVD法により成膜されたDLC膜へのスペクトルデータ駆動科学の適用
Author(s) and affiliation(s): 小佐野芳寿 1,2, 中谷達行 1,3, 1 岡山理科大学, 2 三菱鉛筆株式会社, 3 慶應義塾大学
Abstract: 本研究では、Diamond-like carbon(DLC)膜の研究開発プロセスの効率化・高精度化をさらに推進することを目的に、スペクトルデータ駆動科学に基づく膜構造解析と最適化を検討した。ラマンスペクトルの5ピーク分離自動解析と新たなデータ可視化手法を組み合わせた膜構造解析、およびスペクトルデータからの膜物性予測モデル構築により、DLC膜の研究開発プロセスにおけるDigital Transformation(DX)の有効性を裏付けた。
B02-06
Presentation title: 圧力勾配型スパッタ法がDLC膜の成膜と膜特性に及ぼす影響
Author(s) and affiliation(s): 米澤健 1,2, 小佐野芳寿 1, 中谷達行 1,3, 1 岡山理科大学, 2 ケニックス株式会社, 3 慶應義塾大学
Abstract: 本研究は、圧力勾配型スパッタ(Pressure Gradient Sputtering; PGS)法をDimamond-Like carbon(DLC)膜の成膜に適用し、成膜特性および膜特性に及ぼす影響を評価した。その結果、成膜レートと膜質の大幅な向上が明らかとなった。ラマンスペクトル解析からもsp3C-C結合の増加が示唆され、膜質向上が裏付けられた。安価なRF電源との組み合わせで従来の高コストな成膜法を上回る特性が得られたことから、DLC膜の産業応用拡大への貢献が期待される。
B02-07
Presentation title: 疎水性鎖にピリジル基を有する両親媒性ブロック共重合体の構築とそのpH応答性高分子ミセルへの応用
Author(s) and affiliation(s): 近藤伸一 1, 土井直樹 1, 笹井泰志 2, 山内行玄 3. 1 岐阜薬科大学, 2 岐阜医療科学大学, 3 松山大学
Abstract: 本研究では、メカノケミカル固相重合により疎水性鎖にピリジル基を有する両親媒性ブロック共重合体を合成した。得られたブロック共重合体から透析膜法により高分子ミセルを調製し、得られた高分子ミセルのpH変化による粒子径変化の経時変化について検討し、高分子ミセルのpH応答性を評価した。
B3 (Japanese). General Scopes of Photopolymer Science and Technology一般講演
Symposium Chairs: Masashi YAMAMOTO (National Institute of Technology, Kagawa College, KIT), and Hideo HORIBE (Osaka Metropolitan University)
B03-01
Presentation title: レーザーを用いたレジスト剥離における走査照射条件の最適化
Author(s) and affiliation(s): 森下沙夢 1, 面地和樹 1, 安國良平 1, 吉村政志 2, 山本竜也 3, 堀邊英夫 3, 神村共住 1,2, 1 大阪工業大学, 2 大阪大学, 3 大阪公立大学
Abstract: レーザーを用いたレジスト剥離技術において高効率化のための照射条件の最適化を行う。従来の1パルスのレーザー照射に対して、繰り返しパルス照射での剥離現象を調べた。さらに連続的に剥離させるために、ノボラック樹脂に対する走査照射条件について検討した。
B03-02
Presentation title:
回転円盤上における二流体ジェット噴射によって形成された液膜構造
Author(s) and affiliation(s): 池ヶ谷充貴也 1, 土居尚人 1, 高橋広毅 2, 今井正芳 2, 真田俊之 1, 1 静岡大学, 2 荏原製作所
Abstract: 毎葉式半導体ウェットプロセスにおいては,液膜厚さ分布が表面エッチングレートと強く影響する.本研究では,回転円盤上に微小液滴群を含む高速噴流である二流体ジェットを衝突させ,形成される液膜厚さ分布を,レーザーフォーカス変位計によって計測した.液膜厚さ分布における回転数や流量の影響,さらにノズルを移動させた際に形成される液体非到達領域の形成について議論する.
B03-03
Presentation title: マイクロ波励起水蒸気プラズマアッシングプロセスにおける基板ホルダへのRFバイアス電圧印加効果 (Invited)
Author(s) and affiliation(s): 石島達夫, 金沢大学
Abstract: 水を原料とするマイクロ波励起プラズマによるアッシングプロセス法は,薬液を利用しないために環境負荷を低減し,熱によるデバイスダメージを低減できる利点を有する。近年,レジスト膜として炭素系の硬化膜の利用が検討されており,更なる除去速度の向上が求められている。本研究では基板ホルダにRFバイアス(1 MHz, 27 MHz)を印加することでレジスト除去速度を向上させるための研究開発を行った。
B3-04
Presentation title: 三成分化学増幅型感光性ポリイミドにおけるカルボン酸型溶解抑制剤による感度と解像度の向上
Author(s) and affiliation(s): Daiki Madokoro 1, Katsuaki Takashima 1, Kohei Yamaoka 2, Susumu Tanaka 2, Tomoyuki Yuba 2 and Hideo Horibe 1, 1 Osaka Metropolitan Univ., Japan, 2 Toray Industries, Japan
Abstract: ポリイミド・光酸発生剤・溶解抑制剤で構成する三成分化学増幅型感光性ポリイミドは、溶解抑制剤の脱保護反応が酸によって触媒的に進行するため高感度化が期待できる。また、脱保護によって溶解促進剤が生成し、未露光部と露光部の溶解速度差を広げるため高解像度化が期待できる。本研究では、溶解促進剤がフェノール類となるフェノール型溶解抑制剤と、カルボン酸となるカルボン酸型溶解抑制剤を用い、感度・解像度を評価した。
B03-05
Presentation title: 3成分化学増幅型ノボラックレジストにおける溶解抑制剤の脱保護活性化エネルギーの評価(2)
Author(s) and affiliation(s): 井東七ツ希、間所大貴、髙嶋克彰、堀邊英夫、大阪公立大学
Abstract: 3成分化学増幅型レジストはノボラック樹脂、光酸発生剤、溶解抑制剤の3成分からなるレジストである。このレジストの感度に影響する因子として、レジスト膜の透過率、光酸発生剤の分解性、溶解抑制剤の溶解抑制能や脱保護反応性、脱保護反応後の溶解促進能などがあげられる。本研究では、分子骨格の異なる溶解抑制剤を用いて、感度に影響を与える因子の中でも脱保護反応の活性化エネルギーが感度に与える影響を評価した。
B03-06
Presentation title: 3成分化学増幅型レジストにおける溶解抑制剤の化学構造とレジスト特性との関係
Author(s) and affiliation(s): 嶋田野乃佳、髙嶋克彰、堀邊英夫、大阪公立大学
Abstract: 3成分化学増幅型レジストは酸が触媒となる脱保護反応により高感度化、添加する溶解抑制剤の石垣モデルによる露光部の溶解速度向上により高解像度化が期待できる。本研究では脱保護によりレジスト膜を現像液へ可溶にさせる溶解抑制剤について、保護基の数と芳香環の数を変えて合成した。その溶解抑制剤の化学構造とレジスト感度、解像度との関係を検証した。また、溶解抑制剤ごとのレジスト感度と脱保護反応率との関係を確認した。
B03-07
Presentation title: Yukihito Matsuura 1, Sakino Kikuchi 1, and Tomoharu Tachikawa 2, 1 National Institute of Technol., Nara College, Japan, 2 Osaka, Gas Chemicals Co., Ltd., Japan
Author(s) and affiliation(s): Yukihito Matsuura 1, Sakino Kikuchi 1 and Tomoharu Tachikawa 2, 1 NAISTOsaka and 2 Gas Chemicals, Japan
Abstract: Leveraging the photochemical properties of polysilanes, we developed a novel approach for grafting glycidyl methacrylate onto polysilane backbones. This strategy, which exploits polysilanes as intrinsic photoinitiators, enables the facile introduction of epoxy groups. Subsequent reaction with amines affords polysilane-organic hybrids with tailored properties. The retention of polysilane segments in these hybrids provides opportunities for the development of new materials with combined electronic and mechanical properties.
B03-08
Presentation title: アゾベンゼン系分子ガラス微粒子のヒドロキシプロピルセルロースハイドロゲル中におけるキラルフォトメカニカル挙動
Author(s) and affiliation(s): 青松義貴1、大背戸豊2、倉賀野正弘1、徳樂清孝1、中野英之1,1 室蘭工大、2 奈良女子大
Abstract: われわれは最近、寒天ゲル中に固定したアゾベンゼン系分子ガラスがキラルフォトメカニカル挙動を示すことを明らかにしている。本研究では、ヒドロキシプロピルセルロースハイドロゲル中でもキラルフォトメカニカル挙動を示すことを明らかにするとともに、キラルフォトメカニカル挙動の発現機構を、周囲のゲルの非対称なねじれ応力の観点から考察した。
B03-09
Presentation title: 三つのシアノスチルベン骨格を有する新規アモルファス分子蛍光体を用いる発光パターン形成
Author(s) and affiliation(s): 島崎唯人、中野英之、室蘭工大
Abstract: われわれは最近、シアノスチルベン骨格を有するアモルファス分子蛍光体の創製に関する研究を進めている。本研究では、分子内に3つのシアノスチルベン骨格を有する新規アモルファス分子蛍光体を開発し、その発光特性を検討するとともに、アモルファス膜中における光反応性を利用して、発光パターン形成を行った。
B03-10
Presentation title: ヒドロキシピリジル基を導入したメタクリル光接着材料の作製
Author(s) and affiliation(s): 古谷昌大、松井実玖、福井工業高専
Abstract: 互変異性可能なヒドロキシピリジル基を有するメタクリラートモノマーを,設計・合成した.同モノマーと光ラジカル開始剤,2-ヒドロキシエチルメタクリラートからなる光接着材料を調製し,ガラス-ガラスおよびガラスー銅光接着試料を作製した.剪断応力を測定した結果,同モノマー未添加の場合に比べ接着強度が増した.溶液および接着層中のヒドロキシピリジル基の化学構造について,UV-VisおよびXPS測定により調べた.
B03-11
Presentation title: チオキサントン骨格並びにα–解裂型骨格を有する複合型光ラジカル重合開始剤
Author(s) and affiliation(s): 宮川 信一、小林 彪真、千葉大学
Abstract: 水素引き抜き型光ラジカル開始剤であるチオキサントン骨格にα,α–dimethoxyphenylmethyl基やdiphenylphosphine oxide骨格を複合させることで,α-解裂型および水素引き抜き型開始剤の両方の性質を併せ持った分子の合成および光開始剤としての評価を報告する。活性水素を有するオリゴマーなどと組み合わせると,多官能の架橋剤成分の非存在下あるいは架橋剤成分の減量下でも,α-解裂型光開始剤のみによる重合に比べて架橋密度が向上する。